Принцип работы JK-триггера

Как синхронизировать работу триггера

Иногда желательно в последовательностных логических схемах иметь бистабильный триггер, изменяющий свое состояние, когда соблюдены определенные условия, независимо от состояния S- или R-входов. Такая схема может быть создана подключением двухвходного элемента И последовательно с каждого входом триггера. Объединив два входа элементов И, получим новый вход триггера. Добавление его означает, что выходы Q и Q̃ изменяют состояние, когда сигнал на нем является высоким, и, следовательно, он может быть использован в качестве тактового C-ввода, как показано на рисунке ниже.

Когда сигнал на С-входе находится на уровне 0, то выходы двух элементов И — также на уровне 0 (логика элемента И), независимо от состояния двух входов S и R, а два выхода Q и Q̃ «защелкнуты» в последнем установившемся состоянии. Когда сигнал на С-входе изменяется на уровень 1, то схема отвечает как обычный бистабильный триггер, становясь прозрачной для установки и сброса состояний.

Этот дополнительный C-вход также может быть подключен к выходу генератора тактовой частоты синхронизации, образуя тогда синхронный RS-триггер. Таким образом, данная схема работает как стандартная бистабильная триггерная «защелка», но выходы активируются только тогда, когда уровень 1 подан на C-вход, и отключаются при появлении уровня логического нуля.

Классификация последовательных схем

Последовательные схемы могут быть использованы для простых триггеров или для создания более сложных систем: устройств памяти, счетчиков, регистров сдвига. Они подразделяются на три основные категории:

  1. Асинхронные, меняющие статус при включении;
  2. Синхронные, согласованные с тактовым сигналом;
  3. Комбинированные, реагирующие на запуск импульсов.

Важно! Если схема зависит от внешнего входа, то она является асинхронной. Если состояния меняются в зависимости от тактового сигнала, то она синхронная

Для сохранения состояния присутствует обратная связь, когда частично сигнал с выхода идет опять на вход.

Триггеры JK и D

Д триггер – неотъемлемая часть большинства микропроцессоров, так как входит в состав регистров сдвига и хранения. Они находятся в числе наиболее часто используемых схем. Название D триггеры происходит от основной характерной особенности – образования задержки (D – Delay). У него имеется два входа: D (информационный) и C (управляющий). Сигнал из D задает состояние схемы, но только если при этом на C есть разрешение на запись.

Если вход синхронизации C сообщает 0, это значит, что запоминание запрещено и выходной сигнал устройства никак не должен зависеть от информации, переданной на D. Запись данных начинается только тогда, когда на C подается 1. В этом случае состояние триггера полностью зависит от D, но если на управляющий вход снова подать 0, триггер запомнит последнее значение и перестанет реагировать на сигналы, пока синхронизация не разрешит запись.

JK триггер

JK триггер самый универсальный и сложный из всех. Принцип работы подобен RS, однако у него нет неопределенного состояния, которое вызывается одновременной подачей на входы двух единиц. Он имеет следующие входы:

  • S – установочный;
  • R – сбрасывающий;
  • C – синхронизация;
  • J и K.

Классификация

Если стандартные логические элементы являются строительными блоками комбинационных схем, бистабильные схемы, включая и RS-триггер, являются основными компонентами построения последовательностных логических устройств, таких, как регистры хранения данных, регистры сдвига, устройства памяти или счетчики. В любом случае рассматриваемые триггеры (разумеется, как и все последовательностные схемы) могут быть выполнены в виде следующих основных типов:

1. Асинхронный RS-триггер – схема, которая изменяет состояние сразу при изменении входных сигналов. Для рассматриваемого типа устройств ими являются сигналы на информационных входах R (сброс) и S (установка). Согласно установившейся практике, соответствующие входы называют так же, как и сигналы на них.

2. Синхронный RS-триггер, управляемый статически, работа которого синхронизирована с уровнем определенного тактового сигнала.

3. Триггер по п.2 с динамическим управлением, работа которого синхронизирована с моментами появления фронтов (или спадов) тактового сигнала.

Таким образом, если изменения состояния выходов происходят только при наличии тактового сигнала, который подается на отдельный тактовый вход C, то триггер является синхронным. В противном случае схема считается асинхронной. Чтобы сохранить свое текущее состояние, последовательностные схемы используют обратную связь, т. е. передачу части выходного сигнала на ее вход.

Практическое использование

Свойство триггеров сохранять записанную информацию даже при снятии внешних сигналов позволяет применять их в качестве ячеек памяти ёмкостью в 1 бит. Из единичных элементов можно построить матрицу для запоминания двоичных состояний – по такому принципу строятся статические оперативные запоминающие устройства (SRAM). Особенностью такой памяти является простая схемотехника, не требующая дополнительных контроллеров. Поэтому такие SRAM применяются в контроллерах и ПЛМ. Но невысокая плотность записи препятствует использованию таких матриц в ПК и других мощных вычислительных системах.

Выше упоминалось использование триггеров в качестве делителей частоты. Бистабильные элементы можно соединять в цепочки и получать различные коэффициенты деления. Та же цепочка может быть использована в качестве счетчика импульсов. Для этого надо считывать с промежуточных элементов состояние выходов в каждый момент времени – получится двоичный код, соответствующий количеству пришедших на вход первого элемента импульсов.

В зависимости от типа примененных триггеров, счетчики могут быть синхронными и асинхронными. По такому же принципу строятся преобразователи последовательного кода в параллельный, но здесь используются только стробируемые элементы. Также на триггерах строятся цифровые линии задержки и другие элементы двоичной техники.

RS-триггеры используются в качестве фиксаторов уровня (подавителей дребезга контактов). Если в качестве источников логического уровня применяются механические коммутаторы (кнопки, переключатели), то при нажатии эффект дребезга сформирует множество сигналов место одного. RS-триггер с этим успешно борется.

Область применения бистабильных устройств широка. Круг решаемых с их помощью задач во многом зависит от фантазии конструктора, особенно в сфере нетиповых решений.

Что такое компаратор напряжения и для чего он нужен

Режимы работы, описание характеристик и назначение выводов микросхемы NE555

Что такое операционный усилитель?

Что такое термистор, их разновидности, принцип работы и способы проверки на работоспособность

Что такое диодный мост, принцип его работы и схема подключения

Что такое аттенюатор, принцип его работы и где применяется

Что такое Д триггер

Триггерами называют устройства, способные длительное время поддерживать определенное состояние на выходе. Как правило, они контролируют соответствующие уровни напряжения. Изменения происходят при определенной комбинации входных сигналов.

Простейшие устройства этой категории создают по схеме RS. Они запоминают состояние сигнала, поданного на один из входов. Чтобы устранить процесс сбоев, который вызывают паразитные колебания при переходе сигнала из ноля в единицу и обратно, применяют синхронизацию. Этим дополнительным сигналом устанавливают точное время (интервал) для возможных изменений.

В обозначении Д триггера отмечена главная особенность. Буквой «Д» (D лат.) маркируют вход, на который подают информационный сигнал. Другой («С») используют для синхронизации записи. Отсутствие активности на нем исключает изменение базового состояния. Такое решение, в отличие от RS, позволяет изменять состояние с применением только одного источника данных.

Синхронные и асинхронные триггеры: в чем разница?

Синхронные устройства имеют только логические (или по-другому – информационные) входы. Асинхронные механизмы срабатывают сразу, как только получили сигнал. Они не ждут, пока пройдёт временная задержка в тех элементах, которые образуют триггер. Асинхронный D-триггер не работает как устройство в обычном понимании. Благодаря этому он имеет один несомненный плюс: всегда, когда подаётся сигнал на вход, этот логический элемент сразу меняет значение выхода, а не ждёт определённой тактовой частоты. Для тех, кто умеет создавать близкие к идеальным схемы, триггеры будут весьма полезны. Синхронные имеют не только информационные входы, у них также есть отдельный вход для подачи тактового сигнала. И именно к ним относится D-триггер. Он состоит из комбинационных схем (КС) и элементов памяти (ЭП). Из-за того что при наличии тактового сигнала вся работа ориентируется на один такт, триггеры и разделили на синхронные и асинхронные устройства. Но конструктивная разница на этом не исчерпывается. Так, именно благодаря тактовому сигналу, его воздействию, можно полностью исключать из внимания переходные процессы, что позволяет, в свою очередь, облегчить работу с электроникой. Именно поэтому синхронный D-триггер является более популярным и используемым на практике. Даже пример, который был приведён в начале статьи, подразумевал его использование.

Описание и принцип работы

В широком смысле триггером (от английского trigger — спусковой крючок, запускающий механизм) называют любой импульс или событие, ставшее причиной чего-либо. Термин применяют в электронике, психологии, медицине, программировании и других областях деятельности. В создании микросхем и других устройств так называют элемент, который способен принимать одно из двух стойких состояний (0 или 1) и сохранять их в течение долгого времени.

Положение триггера зависит от получаемых им сигналов на прямом и инверсном выходах. Отличительной чертой устройства является то, что его переход из одной позиции в другую обусловлен не только получением внешних инструкций, поступающих от выбранной системы управления, но и посредством обратной связи. То есть текущее положение элемента зависит от предыстории его работы.

Триггеры могут сохранять свою память только при постоянном поступлении напряжения. Если его отключить, а затем снова подключить, устройство перейдёт в случайное состояние

Поэтому при конструировании устройства важно предусмотреть способ, которым он изначально будет вводиться в правильное положение

В основе любого триггера лежит схема, которая состоит из двух логических элементов типа И-НЕ либо ИЛИ-НЕ, имеющих друг с другом обратную положительную связь. Такой тип подключения позволяет системе иметь всего два возможных устойчивых состояния, из которых выбирается одно

Важной деталью является то, что после того как триггер перешёл в положение, он может сохранять его сколько угодно времени, до тех пор, пока не будет подан очередной управляющий сигнал

Другой характерной особенностью устройств является возможность мгновенного осуществления перехода от одного состояния в другое после получения соответствующей команды. Задержка настолько мала, что её можно не учитывать при проведении расчётов.

Число входов может быть разным и зависит от требуемых функций. Если подать сигнал одновременно на два из них, то он примет произвольную позицию после прекращения их поступления. По своим функциям входы делятся на несколько типов, которые входят в две большие группы: информационные и управляющие. Первые из них получают сигналы и запоминают их в виде информации, в то время как вторые разрешают или запрещают её запись, а также выполняют функцию синхронизации. На схемах они имеют следующие обозначения:

  • S — устанавливает триггер в состояние «1» на прямом выходе;
  • R — противоположен S, сбрасывает состояние обратно на «0»;
  • С — вход синхронизации;
  • D — принимает информацию для последующего занесения на триггер;
  • T — счётный вход.

JK триггер

Выпрямитель тока

Другие широко распространенные виды логических схем – JK, D и Т, которые являются разработками RS триггера на логических элементах.

Недостатки схемы И-НЕ РС триггера:

  1. Необходимость избегать условия, когда оба входных сигнала равны 0;
  2. При изменениях состояния R и S, имеющих единичный входной сигнал, правильное блокирующее действие не всегда происходит.

Для преодоления этих недостатков была разработана схема JK триггера. Его входы являются теми же R и S, но им присвоены буквы J и K по имени изобретателя схемы, чтобы отличить от других триггеров. JK схема отличается от RS триггера тем, что она не имеет неопределенных или запрещенных входных статусов.

JK триггер

В JK добавлена входная схема синхронизации, предотвращающая неопределенное условие вывода, которое может случиться, если R и S равны единице. Поэтому в наличии четыре возможных комбинации ввода: 1, 0, «без изменений» и «переключение». Вход J соответствует S, а K – R. Кроме того, для каждого вентиля имеется третий вход. На выходе остаются Q̃ и Q.

Перекрестная связь RS триггера позволяет использовать ранее неопределенные условия работы триггера, когда оба входных сигнала равны 1, для создания «переключателя», так как два входа могут быть блокированы.

Схема JK – это триггер SR с обратной связью, который позволяет только одному из его терминалов (SET или RESET) быть активным в любой момент времени, тем самым устраняя недопустимое условие:

  1. Если активен терминал SET, вход J блокируется статусом 0 (Q̃) через нижний логический элемент И-НЕ;
  2. Если в действии терминал RESET, вход К заблокирован статусом 0 через верхний вентиль И-НЕ.

Так как Q̃ и Q всегда разные, они могут использоваться для манипулирования входом. Для JK триггера таблица истинности принимает следующий вид.

Таблица истинности JK триггера

Когда оба входа J и K имеют единицу на входе при высоком тактовом импульсе, схема может переключаться из состояния SET в RESET и наоборот.

D триггеры, работающие по фронту.

Фронт сигнала синхронизации, в отличие от высокого (или низкого) потенциала, не может длиться продолжительное время.
В идеале длительность фронта равна нулю. Поэтому в триггере, запоминающем входную информацию по фронту не нужно предъявлять
требования к длительности тактового сигнала.

Триггер, запоминающий входную информацию по фронту, может быть построен из двух триггеров, работающих по потенциалу.
Сигнал синхронизации будем подавать на эти триггеры в противофазе. Схема такого триггера приведена на рисунке 15.

Рассмотрим работу схемы динамического триггера, приведенной на рисунке 15 подробнее. Для этого воспользуемся
временными диаграммами, показанными на рисунке 13. На этих временных диаграммах обозначение Q΄ соответствует
сигналу на выходе первого триггера. Так как на вход синхронизации второго триггера тактовый сигнал поступает через
инвертор, то когда первый триггер находится в режиме хранения, второй триггер пропускает сигнал на выход схемы. И
наоборот, когда первый триггер пропускает сигнал с входа схемы на свой выход, второй триггер находится в режиме
хранения.

Обратите внимание, что сигнал на выходе всей схемы в целом не зависит от сигнала на входе «D» схемы. Если первый
триггер пропускает сигнал данных со своего входа на выход, то второй триггер в это время находится в режиме хранения
и поддерживает на выходе предыдущее значение сигнала, то есть сигнал на выходе схемы тоже не может измениться.. В результате проведённого анализа временных диаграмм мы определили, что сигнал в схеме, приведенной на рисунке 15
запоминается только в момент изменения сигнала на синхронизирующем входе «C» с единичного потенциала на нулевой.

В результате проведённого анализа временных диаграмм мы определили, что сигнал в схеме, приведенной на рисунке 15
запоминается только в момент изменения сигнала на синхронизирующем входе «C» с единичного потенциала на нулевой.

Динамические D триггеры выпускаются в виде готовых микросхем или входят в виде готовых блоков в составе больших
интегральных схем, таких как базовый матричный кристалл (БМК) или программируемых логических интегральных схем (ПЛИС).

Условно-графическое обозначение D триггера, запоминающего информацию по фронту тактового сигнала,
приведено на рисунке 12.

То, что триггер запоминает входной сигнал по фронту, отображается на условно-графическом обозначении треугольником,
изображённым на выводе входа синхронизации. То, что внутри этого триггера находится два триггера, отображается в среднем
поле условно-графического изображения двойной буквой T.

Иногда при изображении динамического входа указывают, по какому фронту триггер (или триггеры) изменяет своё состояние.
В этом случае используется обозначение входа, как это показано на рисунке 18.

Рисунок 18. Обозначение динамических входов

На рисунке 18 а обозначен динамический вход, работающий по переднему (нарастающему) фронту сигнала. На рисунке 18 б
обозначен динамический вход, работающий по заднему (спадающему) фронту сигнала.

Промышленностью выпускаются готовые микросхемы, содержащие динамические триггеры. В качестве примера можно назвать
микросхему 1533ТМ2. В этой микросхеме содержится сразу два динамических триггера. Они изменяют своё состояние по
переднему фронту сигнала синхронизации
.

Дата последнего обновления файла
09.03.2020

Триггер Шмитта на транзисторах

Триггер Шмитта на транзисторах, так же как и триггер Шмитта на ОУ, является системой двух устойчивых состояний, переход которого из одного состояния в другое связан с амплитудой запускающего импульса.

Подобные триггеры широко используются, в вычислительной технике и всевозможных промышленных приборах, где нужно менять форму сигнала, преобразовывать прямоугольные импульсы из синусоиды колебаний и регистрировать завышение сигнала определенного порога. Стандартная схема триггера Шмитта на двух биполярных транзисторах n-p-n приводится ниже.

Для правильного уяснения работы триггера Шмитта сперва допустим, что на входе транзистора VT1 нет сигнала. Сопротивления R1, R2 и R3, подключены к минусу и плюсу питания, и создают своеобразный делитель напряжения. По отношению к эмиттеру транзистора VT2, падение напряжения на сопротивлении R3 окажется положительным, по причине этого данный транзистор будет открыт.

От источника питания на коллектор транзистора VT2 через резистор R4 идет положительный потенциал. Когда транзистор открыт, ток эмиттера, протекающий через R4, создает на нем падение напряжения. Сквозь вторичную обмотку трансформатора Тр1, имеющего малое сопротивление, потенциал на резисторе R5 оказывается между базой и эмиттером VT1 и формирует обратное смещение на переходе Б-Э. В связи с этим VT1 закрыт. Данное устойчивое состояние схемы Шмитта является одним из двух вероятных состояний.

Электрический паяльник с регулировкой температуры

Мощность: 60/80 Вт, температура: 200’C-450’C, высококачествен…

Подробнее

Вследствие падения напряжения на R4 по причине протекания через него тока, потенциал коллектора VT2 будет намного ниже напряжения питания. При поступлении на вход сигнала, он не окажет никакого воздействия на устойчивость триггера Шмитта, если его амплитуда будет меньше напряжения смещения между эмиттером и базой транзистора VT1, идущего с сопротивления R5.

В том случае если входной сигнал будет по амплитуде больше этого смещения, то произойдет открытие VT1. Из-за снижения потенциала на коллекторе VT1 снижается смещение на базе VT2, и в итоге его эмиттерный ток также снизится.

Из-за этого снизится падение напряжения на сопротивлении R5, а смещение на базе VT1 увеличится и инициирует последующий рост тока через VT1. Падение напряжения на R1 также значительно повысится, что в свою очередь уменьшит смещение на базе VT2 и снизит падения напряжения на R5. Этот алгоритм будет длиться до тех пор, пока VT1 до конца не откроется, а транзистор VT2, не закроется.

Как только ток коллектора VT2 достигнет нуля и на сопротивлении R4 начнет падать напряжение, потенциал же на его коллекторе станет увеличиваться, который пройдя через конденсатор С2 становится выходным сигналом.

Величина и форма сигнала на выходе триггера Шмитта находятся в прямой зависимости от постоянной времени (R4+Rн)C2 и сопротивления нагрузки Rн. Устойчивое положение, которое отвечает закрытому транзистору VT2 и открытому VT1, является вторым состоянием триггера Шмитта, и оно длится, пока есть входной сигнал. И как только входной сигнал пропадет, триггер Шмитта переходит в первоначальное состояние.

Если постоянная времени (R4+Rн)С2 существенно превышает продолжительность входного сигнала, то амплитуда сигнала на выходе триггера Шмитта практически оказывается стабильной, без изменений.

Источник: ledsshop.ru

Тёплый Дом